package hardcaml

  1. Overview
  2. Docs
Legend:
Library
Module
Module type
Parameter
Class
Class type
type comb = Bits.t
type t = comb t
val sexp_of_t : comb t -> Sexplib0.Sexp.t
val assert_widths : comb t -> Base.unit
val of_int : Base.int -> comb t
val const : Base.int -> comb t
  • deprecated [since 2019-11] interface const
val pack : ?rev:Base.bool -> comb t -> comb
val unpack : ?rev:Base.bool -> comb -> comb t
val mux : comb -> comb t Base.list -> comb t
val mux2 : comb -> comb t -> comb t -> comb t
val concat : comb t Base.list -> comb t
val priority_select_with_default : ((comb, comb t) Comb.with_valid2 Base.list -> default:comb t -> comb t) Comb.optional_branching_factor
val widths : t -> Base.int t
val of_ints : Base.int t -> t
val consts : Base.int t -> t
  • deprecated [since 2019-11] interface consts