package async

  1. Overview
  2. Docs
Legend:
Library
Module
Module type
Parameter
Class
Class type
val names : string list
val scheduler : ([< `Read | `Set_and_create ], 'a t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm
val is_wall_clock : ([< `Read | `Set_and_create ], 'a t, bool) Fieldslib.Field.t_with_perm
val handle_fired : ([< `Read | `Set_and_create ], 'a t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm
val most_recently_fired : ([< `Read | `Set_and_create ], 'a t, Event.t) Fieldslib.Field.t_with_perm
val fired_events : ([< `Read | `Set_and_create ], 'a t, Event.t) Fieldslib.Field.t_with_perm
val events : ([< `Read | `Set_and_create ], 'a t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm
val am_advancing : ([< `Read | `Set_and_create ], 'a t, bool) Fieldslib.Field.t_with_perm
val advance_errors : ([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm
val id : ([< `Read | `Set_and_create ], 'a t, Id.t) Fieldslib.Field.t_with_perm
val make_creator : id: (([< `Read | `Set_and_create ], 'a t, Id.t) Fieldslib.Field.t_with_perm -> 'b -> ('c -> Id.t) * 'd) -> advance_errors: (([< `Read | `Set_and_create ], 'e t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> 'd -> ('c -> Core_kernel.Error.t list) * 'f) -> am_advancing: (([< `Read | `Set_and_create ], 'g t, bool) Fieldslib.Field.t_with_perm -> 'f -> ('c -> bool) * 'h) -> events: (([< `Read | `Set_and_create ], 'i t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm -> 'h -> ('c -> Job_or_event.t Timing_wheel.t) * 'j) -> fired_events: (([< `Read | `Set_and_create ], 'k t, Event.t) Fieldslib.Field.t_with_perm -> 'j -> ('c -> Event.t) * 'l) -> most_recently_fired: (([< `Read | `Set_and_create ], 'm t, Event.t) Fieldslib.Field.t_with_perm -> 'l -> ('c -> Event.t) * 'n) -> handle_fired: (([< `Read | `Set_and_create ], 'o t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm -> 'n -> ('c -> Job_or_event.t Alarm.t -> unit) * 'p) -> is_wall_clock: (([< `Read | `Set_and_create ], 'q t, bool) Fieldslib.Field.t_with_perm -> 'p -> ('c -> bool) * 'r) -> scheduler: (([< `Read | `Set_and_create ], 's t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> 'r -> ('c -> Async_kernel__.Types.Scheduler.t) * 't) -> 'b -> ('c -> 'u t) * 't
val create : id:Id.t -> advance_errors:Core_kernel.Error.t list -> am_advancing:bool -> events:Job_or_event.t Timing_wheel.t -> fired_events:Event.t -> most_recently_fired:Event.t -> handle_fired:(Job_or_event.t Alarm.t -> unit) -> is_wall_clock:bool -> scheduler:Async_kernel__.Types.Scheduler.t -> 'a t
val map : id: (([< `Read | `Set_and_create ], 'a t, Id.t) Fieldslib.Field.t_with_perm -> Id.t) -> advance_errors: (([< `Read | `Set_and_create ], 'b t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> Core_kernel.Error.t list) -> am_advancing: (([< `Read | `Set_and_create ], 'c t, bool) Fieldslib.Field.t_with_perm -> bool) -> events: (([< `Read | `Set_and_create ], 'd t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm -> Job_or_event.t Timing_wheel.t) -> fired_events: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> Event.t) -> most_recently_fired: (([< `Read | `Set_and_create ], 'f t, Event.t) Fieldslib.Field.t_with_perm -> Event.t) -> handle_fired: (([< `Read | `Set_and_create ], 'g t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm -> Job_or_event.t Alarm.t -> unit) -> is_wall_clock: (([< `Read | `Set_and_create ], 'h t, bool) Fieldslib.Field.t_with_perm -> bool) -> scheduler: (([< `Read | `Set_and_create ], 'i t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> Async_kernel__.Types.Scheduler.t) -> 'j t
val iter : id: (([< `Read | `Set_and_create ], 'a t, Id.t) Fieldslib.Field.t_with_perm -> unit) -> advance_errors: (([< `Read | `Set_and_create ], 'b t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> unit) -> am_advancing: (([< `Read | `Set_and_create ], 'c t, bool) Fieldslib.Field.t_with_perm -> unit) -> events: (([< `Read | `Set_and_create ], 'd t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm -> unit) -> fired_events: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> unit) -> most_recently_fired: (([< `Read | `Set_and_create ], 'f t, Event.t) Fieldslib.Field.t_with_perm -> unit) -> handle_fired: (([< `Read | `Set_and_create ], 'g t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm -> unit) -> is_wall_clock: (([< `Read | `Set_and_create ], 'h t, bool) Fieldslib.Field.t_with_perm -> unit) -> scheduler: (([< `Read | `Set_and_create ], 'i t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> unit) -> unit
val fold : init:'a -> id: ('a -> ([< `Read | `Set_and_create ], 'b t, Id.t) Fieldslib.Field.t_with_perm -> 'c) -> advance_errors: ('c -> ([< `Read | `Set_and_create ], 'd t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> 'e) -> am_advancing: ('e -> ([< `Read | `Set_and_create ], 'f t, bool) Fieldslib.Field.t_with_perm -> 'g) -> events: ('g -> ([< `Read | `Set_and_create ], 'h t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm -> 'i) -> fired_events: ('i -> ([< `Read | `Set_and_create ], 'j t, Event.t) Fieldslib.Field.t_with_perm -> 'k) -> most_recently_fired: ('k -> ([< `Read | `Set_and_create ], 'l t, Event.t) Fieldslib.Field.t_with_perm -> 'm) -> handle_fired: ('m -> ([< `Read | `Set_and_create ], 'n t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm -> 'o) -> is_wall_clock: ('o -> ([< `Read | `Set_and_create ], 'p t, bool) Fieldslib.Field.t_with_perm -> 'q) -> scheduler: ('q -> ([< `Read | `Set_and_create ], 'r t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> 's) -> 's
val map_poly : ([< `Read | `Set_and_create ], 'a t, 'b) Fieldslib.Field.user -> 'b list
val for_all : id: (([< `Read | `Set_and_create ], 'a t, Id.t) Fieldslib.Field.t_with_perm -> bool) -> advance_errors: (([< `Read | `Set_and_create ], 'b t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> bool) -> am_advancing: (([< `Read | `Set_and_create ], 'c t, bool) Fieldslib.Field.t_with_perm -> bool) -> events: (([< `Read | `Set_and_create ], 'd t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm -> bool) -> fired_events: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> most_recently_fired: (([< `Read | `Set_and_create ], 'f t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> handle_fired: (([< `Read | `Set_and_create ], 'g t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm -> bool) -> is_wall_clock: (([< `Read | `Set_and_create ], 'h t, bool) Fieldslib.Field.t_with_perm -> bool) -> scheduler: (([< `Read | `Set_and_create ], 'i t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> bool) -> bool
val exists : id: (([< `Read | `Set_and_create ], 'a t, Id.t) Fieldslib.Field.t_with_perm -> bool) -> advance_errors: (([< `Read | `Set_and_create ], 'b t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> bool) -> am_advancing: (([< `Read | `Set_and_create ], 'c t, bool) Fieldslib.Field.t_with_perm -> bool) -> events: (([< `Read | `Set_and_create ], 'd t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm -> bool) -> fired_events: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> most_recently_fired: (([< `Read | `Set_and_create ], 'f t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> handle_fired: (([< `Read | `Set_and_create ], 'g t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm -> bool) -> is_wall_clock: (([< `Read | `Set_and_create ], 'h t, bool) Fieldslib.Field.t_with_perm -> bool) -> scheduler: (([< `Read | `Set_and_create ], 'i t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> bool) -> bool
val to_list : id: (([< `Read | `Set_and_create ], 'a t, Id.t) Fieldslib.Field.t_with_perm -> 'b) -> advance_errors: (([< `Read | `Set_and_create ], 'c t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> 'b) -> am_advancing: (([< `Read | `Set_and_create ], 'd t, bool) Fieldslib.Field.t_with_perm -> 'b) -> events: (([< `Read | `Set_and_create ], 'e t, Job_or_event.t Timing_wheel.t) Fieldslib.Field.t_with_perm -> 'b) -> fired_events: (([< `Read | `Set_and_create ], 'f t, Event.t) Fieldslib.Field.t_with_perm -> 'b) -> most_recently_fired: (([< `Read | `Set_and_create ], 'g t, Event.t) Fieldslib.Field.t_with_perm -> 'b) -> handle_fired: (([< `Read | `Set_and_create ], 'h t, Job_or_event.t Alarm.t -> unit) Fieldslib.Field.t_with_perm -> 'b) -> is_wall_clock: (([< `Read | `Set_and_create ], 'i t, bool) Fieldslib.Field.t_with_perm -> 'b) -> scheduler: (([< `Read | `Set_and_create ], 'j t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> 'b) -> 'b list
module Direct : sig ... end