package devkit

  1. Overview
  2. Docs

Parameters

module V : Value

Signature

type t = V.value
val to_channel : out_channel -> ?flags:Marshal.extern_flags list -> t -> unit
val from_channel : in_channel -> t
val to_string : ?flags:Marshal.extern_flags list -> t -> string
val from_string_ext : string list -> string -> t
  • parameter also
    • additional tags allowed (for backward compatibility)
val from_string : string -> t
val to_file_exn : string -> ?mode:Unix.file_perm -> ?flags:Marshal.extern_flags list -> t -> unit
val from_file : string -> t